Hlavní přehled   |   Info a nápověda Přihlásit   |   Registrovat
 
 
Generátor Figuera - Obecná diskuze     (str. 29 z 36)
Sekce: Zdroje energie - Převratné i běžné
   |   Rolovat dolů
Generátor volné energie inspirovaný C. Figuerou; obecné povídání (koncepční detaily se odborně řeší ve vedlejším vláknu)  Str.: 1, ... 27, 28, 29, 30, 31, ... 36  

Psát příspěvky můžete po přihlášení

Poslední příspěvek z předchozí strany:
Energy1  Jakkoliv opracovávat mag. obvod z laminace je dost problém. Jak na to neuváženě mechanicky chytne...

Aron   
07.03.2025 12:13

  Energy1 napsal(a):    Jakkoliv opracovávat mag. obvod z laminace je dost problém. Jak na to neuváženě mechanicky chytneš, tak se to rozsype. Když jsem si nechával jádra zarovnat na fréze, tak jádro museli pevně upnout mezi dvě hliníkové...

Ano, máš pravdu, cesta je opravdu trnitá. Frézu jsem také uvažoval, ale dala by se použít asi jen na vytvarování jader do tvaru "I". Zarovnání čel v místě styčných ploch mezi jednotlivými místy styku jader, to asi použitelné nebude. Nehledě na to, že při ofrézování se jednotlivé plechy na hranách lechce deformují a tím se zhorší mg. vlastnosti v místech kde je potřeba, aby byly zrovna nejlepší a také dojde k tomu, že se plechy mezi sebou propojí otřepy, což moc neladí s výřivými proudy...
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Energy1   
08.03.2025 09:42

1841 106 1945 
  Aron napsal(a):    Ano, máš pravdu, cesta je opravdu trnitá. Frézu jsem také uvažoval, ale dala by se použít asi jen na vytvarování jader do tvaru "I". Zarovnání čel v místě styčných ploch mezi jednotlivými místy styku jader, to...

Frézu jsem použil právě na srovnání indukčních čel elektromagnetů. Ten otřep na styčné ploše řádově v mikronech vůbec nevadí. Vířivé proudy se potlačují rozdělením celistvého jádra do laminace a tady jde o styčnou elektrickou plochu a pokud tato elektrická vodivá plocha je minimální viz. sváry na mag. obvodu nebo různé elektrické propojení otřepy, tak to vůbec nevadí. Mezi zcela oddělenou laminací nebo elektricky spojenou v místě opracování plochy není žádný měřitelný rozdíl. U laminace je důležité, aby většina objemu jádra a plochy laminace byla elektricky nevodivá, což je. Na elektrické propojení laminace broušením/frézováním, neber vůbec ohled. Jen připomínám, že vířivé proudy u Figuera vznikají pouze v sekundáru, primár je napájen kladným kvadrantem bez přepólování mag. domén v železe.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Antena   
09.03.2025 18:46

298 137 
Ahoj
bohužel musím přiznat že AI k něčemu skutečně je, a to ke psaní sw. Klobou dolů, já bych to dělal rok a nakonec bych musel zapatit borce co programuje vhdl (třeba k tomu nakonec dojde) ale základ mám - generování 2 sinusovek v jazyce vhdl...pak později hallovy sondy, měření vstupu a výstupu proudů a napětí, zobrazení na VGA monitoru a PID regulace při změně zátěže na výstupu tak aby se buzení sekundáru pohybovalo ve správné oblasti magnetického toku a to mezi cca 0,15-1,48T
teď pouze generování sinusů pro desku https://www.hwkitchen.cz/fpga-kit-cyclone-iv-ep4ce6-usb-blaster-ir-ovladac/


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.MATH_REAL.ALL;

entity SinePWMGenerator is
Port (
clk : in STD_LOGIC; -- Hlavní hodinový signál
reset : in STD_LOGIC; -- Resetovací signál
PWM_sine_out : out STD_LOGIC; -- PWM výstup pro sinus
PWM_cosine_out : out STD_LOGIC -- PWM výstup pro sinus posunutý o 180°
);
end SinePWMGenerator;

architecture Behavioral of SinePWMGenerator is
-- Parametry
constant CLK_FREQ : REAL := 50000000.0; -- Frekvence hodin (50 MHz)
constant SINE_FREQ : REAL := 50.0; -- Frekvence sinusu (50 Hz)
constant STEP : REAL := 2.0 * 3.14159265359 * SINE_FREQ / CLK_FREQ; -- Krok úhlu
constant PWM_RES : INTEGER := 1024; -- Rozlišení PWM

-- Signály
signal angle : REAL := 0.0; -- Úhel pro výpočet sinusu
signal sine_value : REAL := 0.0; -- Hodnota sinusu
signal cosine_value : REAL := 0.0; -- Hodnota kosinusu (sinus posunutý o 180°)
signal counter : INTEGER := 0; -- Čítač pro PWM
signal sine_threshold : INTEGER := 0; -- PWM prahová hodnota pro sinus
signal cosine_threshold : INTEGER := 0; -- PWM prahová hodnota pro kosinus
begin
-- Proces pro generování sinusového a kosinusového signálu
process(clk, reset)
begin
if reset = '1' then
angle <= 0.0;
elsif rising_edge(clk) then
-- Zvýšení úhlu
angle <= angle + STEP;
if angle >= 2.0 * 3.14159265359 then
angle <= angle - 2.0 * 3.14159265359;
end if;

-- Výpočet hodnot
sine_value <= 3.5 * sin(angle) + 6.5;
cosine_value <= 3.5 * sin(angle + 3.14159265359) + 6.5;

-- Výpočet PWM prahů
sine_threshold <= INTEGER(sine_value * REAL(PWM_RES) / 10.5);
cosine_threshold <= INTEGER(cosine_value * REAL(PWM_RES) / 10.5);
end if;
end process;

-- Proces pro generování PWM signálů
process(clk, reset)
begin
if reset = '1' then
counter <= 0;
elsif rising_edge(clk) then
-- Zvýšení čítače PWM
counter <= counter + 1;
if counter >= PWM_RES then
counter <= 0;
end if;
end if;

-- Generování PWM výstupů
PWM_sine_out <= '1' when counter < sine_threshold else '0';
PWM_cosine_out <= '1' when counter < cosine_threshold else '0';
end process;

end Behavioral;

jestli to bude skutečně dělat to co potřebuju změřím na osciloskopu...
A.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Energy1   
09.03.2025 20:40

1841 106 1945 
  Antena napsal(a):    Ahoj bohužel musím přiznat že AI k něčemu skutečně je, a to ke psaní sw. Klobou dolů, já bych to dělal rok a nakonec bych musel zapatit borce co programuje vhdl (třeba k tomu nakonec dojde) ale základ mám...

Jdeš na to úplně zbytečně složitě. Relativně dobrý sinus na elektromagnetech získáš PWM střídou vyrobenou z dvou jednoduchých generátorů pulzů a jednoho součtového OZ a silový výstup vyhlazený LC členem. Žádné programy, mcu a jiné nesmysly nejsou potřeba.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Energy1   
09.03.2025 21:18

1841 106 1945 
Je vysoce pravděpodobné, že Helcomb na svém polovodičovém generátoru používá protichůdné pole, tak stejně jako Figuera, protože součet protichůdných polí vytváří dvojnásobné elektrické pole a dvojnásobné emf. A vzhledem k tomu, co ukazuje a vysvětluje to tak je. Po vnější a vnitřní straně rotují mag. pole a mezi nimi je sekundár. U staršího provedení je stator z běžného generátoru, tady však polovodičový rotor není dostatečně účinný, a tak přepracoval od základu celý indukční i indukovaný mag. obvod. Na osciloskopu ukazuje dva fázové signály po 180st, což poukazuje na jednu budící sekci generátoru. Helcomb však nepoužívá kladný kvadrant, ale plné H můstky a každé skupiny cívek jsou v krátkém čase přepólované a změní polaritu. Jak je vidno i střídavý proud může být v buzení efektivní, ale chce to specifické nastavení.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Antena   
09.03.2025 23:54

298 137 
Co se týče programu pro vhdl AI kecá
tohle nejde naprogramovat
sine_value <= 3.5 * sin(angle) + 6.5;
cosine_value <= 3.5 * sin(angle + 3.14159265359) + 6.5;
to si AI vycucala z prstu, vhdl nezná funkci sin
musí se to vyrobit tabulkou...pokud to chce člověk velmi přesně tak by těch hodnot - vzorků funkce sinus, muselo být strašně moc
a nebo https://imperix.com/doc/implementation/fpga-pwm-modulator asi se dá využít program odtud ale je to pro xilinx ne cyclone...jde o použití trojúhelníkového nosného signálu (triangle carrier) pro generování PWM. Místo sinusového průběhu generuje signál, který jednoduše přepíná mezi počítáním nahoru a dolů (COUNTING_UP a COUNTING_DOWN).
Ale jak to jednoduše naprogramovat to zatím nevím...
A.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Barbucha    Schval. r.
10.03.2025 02:31
Bydliště: Pod mostem v Praze
539 30 385 
  Antena napsal(a):    Co se týče programu pro vhdl AI kecá ......

A možná že nekecá. Zkus se jí zeptat na použitých knihovnách, respektive jí řekni, že to nejde naprogamovat že kompilátor to nezná a ona by ti měla vysvětli proč to jede a dát ti nápravu. Já měl podobné problémy s progrmováním SMT32 pod Arduinem IDE. Tam komlilátor také neznal některé rutiny, které používalo jiné programovací rozhraní. Může se ti stát i to, že to budeš muset obejít nějak jinak, protože to rozhraní co používáš, to vůbec nemusí znát a knihovny které by to znali k mání nebudou. Nesmíš předpokládat že ti Ai vidí do hlavy, tak inteligentní opravdu ještě není. Ona pracuje pouze s tím co jí řekneš. Čím přesněji popíše co potřebuješ tím vyšší pravděpodobnost že to uděla dobře. V opačném případě z toho může vylézt pěkný nesmysl. Nebo také zkus požádat o napsání programu jinou Ai. Já osobně požívám kombinaci ChatGPT vs. DeepSeek a docela se mi to osvědčilo. Každá má kapku jiný přístup k věci a občas záleží na tom jakou má náladu. To víš ženské. Tyto sice mají výhodu absence premenstruačního syndromu, ale také mám pocit z některé komunikace že záleží na tom jak se vyspí. Jasně je to blbost, ale faktem je, že tředa u GPT záleží na tom jaký modul s tebou právě komunikuje. Některý modul je horší než studená němka / haha Jára Cimerman - teplé pivo je horší než studená němka /.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Antena   
10.03.2025 10:14

298 137 
Ahoj
AI uplně v první variantě naprogramovala sinus pomocí tabulky hodnot, ale mě se to nelíbilo (protože jsem chtěl aby to bylo co nejpřesnější) a tak jsem jí řekl ať si to přímo spočítá a tak to udělala... ale použila funkci sin aby mě vyhověla a asi ji nedošlo, že vhdl to neumí...až kompilátor zařal, že to tak nelze, ale třeba skutečně stačí nějaké knihovna...a nebo to počítat z jednotkového kruhu, uplně primitivně, pomocí pytagorovy věty...instaloval jsem intelovské prostředí quartus (na programování fpga) a tam mají matlab i simulink i sinusové funkce, ale zase nepodporují "můj" procesor cyclone... přiznám se že tomu nerozumím, nejsem v tom kovaný... chtělo by to někoho kdo tomu rozumí...prostě to chce více zamakat s AI
tady https://imperix.com/doc/implementation/fpga-pwm-modulator to řeší bez sinusu tak to nějak musí jít, jsou tam 3 metody řešení simulink, něco a vhdl tak to prostě musí jít (možná jednotkový kruh), jenom jsem včera už na to neměl čas...A.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Antena   
10.03.2025 10:32

298 137 
jednotková kružnice...
je tu celá bakalářká práce, je to trošku složitější, ale snad to půjde pouze s odmocninou...
https://dspace.cuni.cz/bitstream/handle/20.500.11956/103452/130239677.pdf
na straně je vzorec y=odmocnina(1-x*x)
a pokud nebude vhdl umět spočítat odmocninu tak tady
https://www.itnetwork.cz/algoritmus-vypocet-libovolne-nte-odmocniny
snad by to mohlo být řešitelné... uvidíme
a proč to dělám tak složitě, protože chci mít přehled jaká je spotřeba buzení a kolik je na výstupu sekundáru, jaký je cop.
A to už chce nějaké výpočty, měření hallovou sondou, případně několika hallovími sondami, měření proudů a napětí, a vga zobrazovač tj. monitor, grafy, případně aplikace do mobilu apod...jeví se, že bez picmiců vyrobit komfort nepůjde. Jde to samozdřejmě analogově, bez komfortu zobrazení dalších veličin. V budoucnu plánují jednu velkou věc a tam se bez fpga asi také neobejdu...Proto se to chci naučit už teď...Představ si v fpga samoladitelný obvod, co vždy najde nejoptimálnější provozní bod...to by byla paráda...
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Barbucha    Schval. r.
10.03.2025 14:55
Bydliště: Pod mostem v Praze
539 30 385 
  Antena napsal(a):    .........Představ si v fpga samoladitelný obvod, co vždy najde nejoptimálnější provozní bod...to by byla paráda...

To pak chce implementovat AI do řízení tak jako to mají Korejci v jednom bazmeku a máš vystaráno.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Energy1   
10.03.2025 16:14

1841 106 1945 
  Antena napsal(a):    jednotková kružnice... je tu celá bakalářká práce, je to trošku složitější, ale snad to půjde pouze s odmocninou... https://dspace.cuni.cz/bitstream/handle/20.500.11956/103452/130239677.pdf na straně je vzorec...

Až budeš mít dokonalé sinusové průběhy tak nezapomeň, že generátor generuje emf přesunem konstantního toku přes sekundár nikoliv změnou mag. toku v čase jako u transformátoru. Pokud neudrzíš mezi dvěma elektromagnety kompresní tok, indukce proudu selže. Originál přepínaná indukčnost dělá přesné rozdělování proudů do protilehlých elektromagnetů a současně zavádí offset, čímž se udržuje konstantní mag. tok a je jím pohybováno přes sekundár. Pokud místo přepínané indukčnosti použiješ elektroniku a dva signály PWM, nebudeš generovat vůbec nic.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Antena   
10.03.2025 19:02

298 137 
... řešil jsem s AI ochranné obvody pro tranzistory, navrhla nějaké snubber obvody, tj RC členy...
Nicméně také jsem řešil jestli nevadí dlouhé (20cm) vedení k primárům. Radila dát k zátěži paralelně kondy (a feritové kroužky na vedení) dokonce relativně vysokou kapacitu 2,2 mikro F, ale já ji ukecal na 440nF...což zároveň působí jako dolní propust, spočetla to, vyšlo to tak, že frekvence přibližně nad 1kHz kondík energii pojme... chce to přepočítat... navíc primár působí samo o sobě jako tlumivka ... nic nezlechčuji ... já tomu nerozumím, ale zítra proměřím a uvidím...
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Barbucha    Schval. r.
11.03.2025 08:59
Bydliště: Pod mostem v Praze
539 30 385 
  Antena napsal(a):    ... řešil jsem s AI ochranné obvody pro tranzistory,....

A jakou Ai používáš. Já osobně kombinaci GPT a DeepSeek a vzájemnou kontrolu mezi nima. Má zkušenost že sice GPT je v některých ohledech sdílnější protože má přístup na net, ale častěji kecá ve výpočtech. Bohužel někdy i řádově. Deep Seek se nepoměrně méně mylí ve výpočtech ale zase díky tomu, že nemá přístup na net a nemůže si některé parametrya dohledat, tak občas požaduje víc vstupních parametrů. To jen tak na okraj.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Energy1   
11.03.2025 10:08

1841 106 1945 
"Problémem dvou NN polí je, že mohou indukovat střídavý proud jen, když je udržován siločárový tlak v sekundáru, avšak tohle vnáší problém elektronického řízení, kde se má nahradit přepínaná indukčnost elektronikou. Jednoduše řečeno, musí se vytvořit konstantní, dost vysoký mag. tok v sekundáru mezi dvěma elektromagnety a snažit se jím pohybovat přes sekundár bez toho, aniž by došlo ke změně intenzity mag. toku tj. k nárůstu nebo poklesu v dané oblasti nulového mag. bodu. Tohle je velmi často a špatně pochopeno ze strany replikujících, kteří namísto udržování kompresního toku jádra pulzují a tím v lepším případě získají akci transformátoru. P.s. pohybové pole v sekundáru se dá velmi snadno zjistit neodymovým magnetem, který při správné akci buzení silně vibruje. Při akci transformátoru, kde se mění mag. tok v čase stojí na místě.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Energy1   
12.03.2025 10:46

1841 106 1945 
Protože replikující po celém světě dělají neustále dokola stejné chyby, posílám náčrt správného generování proudu. Cílem je stanovit úroveň mag. indukce, která se odvíjí od materiálu a geometrie mag. obvodu a touto úrovní pohybovat přes sekundární část beze změny intenzity mag. pole v kolizní oblasti tj. sekundáru. Změna intenzity mag. pole je pouze u elektromagnetů, sekundár ji vidí pořád stejnou a na vysoké úrovni. Pro orientaci jsem použil mag. indukci 1T, ale čím vyšší je tento bod, tím vyšší je generované emf. V praxi se volí kompromis mezi saturací materiálu, permeabilitou a ztrátami. Např. u ocelokřemíku prudce klesá permeabilita s vybuzením, ze začátku magnetizační křivky je materiál velmi efektivní a mag. pole silně zesiluje, ale po nabuzení už to s permeabilitou není žádný zázrak tzn. že další zvýšení mag. pole vyžaduje více a více energie až do saturace. A "problém" Figuery je, že pracovní bod musí být nastaven dost vysoko tzn. nastavit velký siločárový tlak a zároveň zajistit aby jednotlivé elektromagnety pracovali s velkým rozpětím mag. indukce a zároveň udržet ztráty na uzdě. V podstatě vždy bez vyjímky se vede boj s příkonem vs generované mag. pole. Např. Helcomb tento problém odstraňuje toroidním mag. obvodem společně s buzením pomocí H můstků, kde cívky pracují se severojižním tokem s převracením polarit. Zdánlivě jednoduchá konstrukce Figuery je vykoupená složitým nastavením buzení a pracovním bodem magnetizační křivky.
 
Přílohy jsou dostupné pouze pro přihlášené uživatele     |     Přihlásit     |     Registrovat 
 


(Dostupné jen pro přihlášené uživatele) 
 
Obrázky není povoleno jakkoli šířit bez souhlasu jejich autora, a to ani v jakékoli upravené formě
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Energy1   
12.03.2025 15:42

1841 106 1945 
Pokud intenzita mag. pole jednoho z elektromagnetů klesne k nule, indukce se vytratí. To je případ dvou signálů PWM. Po světě je spousta chytrých a šikovných lidí a věřte, pokud by to šlo jednoduše, dávno by se to replikovalo, jako na běžícím páse. Jednoduché to rozhodně není, snažím se naopak poukázat na značné konstrukční složitosti. Konvenční generátor je jednoduchost sama o sobě, budící póly se vybudí dc proudem, vznikne statické mag. pole a pohyb pak vytvoří dynamiku mag. polí indukující emf do sekundáru. Ale jakmile chce člověk postavit proces na hlavu, vyloučit pohyb a zároveň zachovat provozní a budící parametry, tak to je problém a začne téct do bot. Analogie k pohybu proměnná magnetizace vyžaduje specifické nastavení a provedení, aby to vůbec fungovalo, rozhodně to není jen o nějakém budícím průběhu, těch okolností co a jak a co všechno se musí splnit je celá hromada. Tím nechci nijak kazit nadšení těm, kteří se vrtají v elektronice, ale budete to mít sakra těžké.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Antena   
12.03.2025 20:43

298 137 
Ahoj
ano konvenční (komutátor + externí indukčnost se 100 odbočkami) Figuera generátor, generuje reálné sinusové průběhy magnetického toku a je jistota, že sinusy magnetického toku jsou tam neustále.
...úkolem PWM je vyrobit stejné sinusy magnetického toku taktéž reálné, dejme tomu, že ve střední hodnotě jsou to reálné sinusovky magnetického toku. Ano když jsem na minimální hodnotě sinusu magnetického toku, vytváří PWM jemné pulzy ale musí být pořád dostatečné k tomu aby to vytvářelo reálný sinus magnetického toku o minimální hodnotě. Není to tak že sepnu, primár je buzen, rozepnu a okamžitě přestane primárem téct magnetický tok, to přece ne. Proto navrhla AI paralelní kond k primáru (nesmí to být v rezonanci) a samotný primár snad funguje jako tlumivka a nebo tam dát tlumivku ala https://www.levne-elektromotory.cz/225-vstupni-tlumivky
...tím, že konvenční figuera generuje nepřetržitý sinusový magnetický tok, ale PWM generuje pulzní napětí, asi je pravděpodobné, že budu u PWM muset použít vyšší napájecí napětí usměrnit 3f síť což je asi 650V(tak budu muset dát i igbt na vyšší napětí)...nevím nerozumím tomu, jenom předpokládám...
...ale hladně "ušetřím" 150k za komutátor a 100k za ext. indukčnost a také bych to mohl zaplatit, ale v důsledku špatného návrhu to nebude fungovat a v podstatě peníze vyhodím okněm. Ušetřit 250k je podle mě argument jít do PWM... třeba to bude také za 50k ale pořád jsem 200k v plusu...
A.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

eda64   
12.03.2025 20:43
Bydliště: Rokycany
138 11 188 
To,o čem se tady bavíte,je pro mně vysoká technika,která je nad moje znalosti.Nicméně mám praktické zkušenosti s elektromagnety.V bývalé práci nás to docela vyškolilo.Měnili jsme EP(elektropneumatický)ventil.Originál byl napájen střídavým napětím,nicméně výrobce psal,že tyto již nemá,že náhrada je napájena ss napětím.Nicméně je to prý plně zaměnitelné,funkce stejná,a ss napětí se dělalo až v konektoru ventilu,byl tam Graetz.Takže jednoduchá výměna.Ale po výměně ventilu nám zařízení přebíhalo,jako by ventil zůstával ještě chvíli otevřený.no zkrátím to,po nějakých pokusech jsme zjistili,že pokud je civka původního magnetu napájená st napětím,odpadne hned při odpojení napájení,u nového ventilu při napájení ss napětím zůstalo jádro nasyceno (a tím přitaženo)ještě asi 0.5-1sekundu.A u nás to byl dost velký problém.Tak si myslím,jestli by tento poznatek nepomohl někomu,kdo se zabývá nějakým točivým strojem poháněným magnety.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Antena   
12.03.2025 21:25

298 137 
  eda64 napsal(a):    To,o čem se tady bavíte,je pro mně vysoká technika,která je nad moje znalosti.Nicméně mám praktické zkušenosti s elektromagnety.V bývalé práci nás to docela vyškolilo.Měnili jsme EP(elektropneumatický)ventil.Originál...

Ahoj
když máš třeba 24VAC střídavých a ty pak usměrníš máš, je to myslím odmocnina ze 2, takže 1,414*24 je 34VDC stejnosměrných. Proud cívkou je pak vyšší u stejnosměrného napájení, a je možné, že se přesycovalo to vtahující se jadérko selenoidu...
Cívka má nějaký odpor, dejme tomu 100R, při 24VAC je střední proud cca 0,24A AC, u 34VDC je proud 0,34A DC. Jasně vyšší proud... prakticky má cívka vyšší odpor...
Figuera je komplexnější problém...
A.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Antena   
12.03.2025 21:46

298 137 
Nevím jestli jsem se dostatečně jasně vyjádřil k tomu PWM.
Připodobnil bych to k použití frekvenčního měniče, ale třeba 250kW a motoru 250kW. Takový měnič 250kW má na výstupu výstupní tlumivku a baterii kondenzátorů. Jednak z důvodů elektromagnetické kompatibility, aby nevznikaly vysoké frekvence, vyšší harmonické, a také, aby signál byl pěkně spojitý nádherně sinusový.
Totéž musí být dosaženo v případě buzení primárů, použít PWM a mezi výstupní IGBT tranzistory a primár dát něco co vytvoří nádherný spojitý signál. Bude to buď tlumivka, kondenzátor, obojí, ale v praxi to bude asi kondenzátor 440n a samotný primár.
Tady nesmí vznikat nějaké zbloudilé pulzy magnetického toku, které se hned ztratí, ale pouze konkrétní spojitý magnetický tok, podobně, jako je tomu u frekvenčního měniče s proudem a napětím, takový tok aby vytvářel tu správnou kompresi magnetických toků a celé zařízení (Figuera) fungovalo. Možná to není zase až takový problém...jsem teprve na začátku...vývoje...
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

  Str.: 1, ... 27, 28, 29, 30, 31, ... 36  

Psát příspěvky můžete po přihlášení

 
Omforum.cz   |   Nápověda   |   Pravidla fóra   |   Podpořte chod fóra   |   Vytvořil: 2015-2025 Adam Benda
 
 
CC BY-NC-ND 3.0 CZ
Licence Creative Commons
Toto dílo podléhá licenci Creative Commons Uveďte původ-Neužívejte komerčně-Nezpracovávejte 3.0 Česká republika License