Hlavní přehled   |   Info a nápověda Přihlásit   |   Registrovat
 
 
 
 
 
TTG - Teslův toroidní generátor     (str. 1 z 8)
Sekce: Magnetizmus, magnety, indukování
   |   Rolovat dolů
Na počest největšího génia elektrotechniky jsem připravil konstrukci toroidního generátoru s některými změnami, jenž by mohly zvýšit účinnostStr.: 1, 2, 3, ... 8  

Psát příspěvky můžete po přihlášení

Správa fóra
Po dohodě s uživatelem Jarin's bylo na základě specifičnosti daného tématu přesunuto 62 příspěvků z vlákna Lenzův zákon - Objížďky do tohoto nového vlákna s názvem
TTG - Teslův toroidní generátor

Úvodní slovo uživatele Jarin's:
Myšlenka Nikoly Tesly na toroidní generátor má letos 130-té výročí (US patent no. 00390721). Na počest největšího génia elektrotechniky jsem připravil konstrukci toroidního generátoru s některými změnami, jenž by mohly zvýšit účinnost a jsou právě předmětem těchto myšlenek a vývojových prací.
 
Na sdělení moderátora není povoleno reagovat prostřednictvím příspěvku v tematickém vlákně. Považujete-li za nutné zabývat se tímto moderátorským zákrokem, kontaktujte administrátora fóra prostřednictvím e-mailu admin@omforum.cz.
Zarážka - Až sem mám přečteno

Jarin's   
25.12.2017 10:23
Bydliště: Zádveřice-Raková
947 141 903 
Tak schválně pro příznivce i odpůrce Lenze. Bude se do cívek něco indukovat? budou naopak cívky při odběru proudu brzdit magnety?
 
Přílohy jsou dostupné pouze pro přihlášené uživatele     |     Přihlásit     |     Registrovat 
 



(Dostupné jen pro přihlášené uživatele) 
 
Obrázky není povoleno jakkoli šířit bez souhlasu jejich autora, a to ani v jakékoli upravené formě
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

E_man    Schval. r.
25.12.2017 14:59
Bydliště: Kde lišky dávají dobrou noc
2217 84 1820 
Řekl bych že ano. Jistý si nejsem.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Ilem   
25.12.2017 17:23
Bydliště: Hradec Králové
451 67 523 
Podle mě záleží na zapojení cívek.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Adam   
25.12.2017 18:40
Bydliště: Praha
5793 563 5842 
  Jarin's napsal(a):    Tak schválně pro příznivce i odpůrce Lenze. Bude se do cívek něco indukovat? budou naopak cívky při odběru proudu brzdit magnety?

Přesně, záleží na zapojení cívek. Buď budou zapojené do společné orientace a pak se na nich bude indukované napětí sčítat, nebo budou zapojené protisměrně, indukované napětí se na nich bude vzájemně vyrušovat a polezou z toho hodnoty blízké nule.

V případě správného zapojení do společné orientace, tak jakmile bude připojena zátěž, začne od cívek působit opačné pole, které bude pohyb magnetů přibržďovat.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Píďalka   
25.12.2017 19:18
Bydliště: ČR
1379 446 1788 
  Jarin's napsal(a):    Tak schválně pro příznivce i odpůrce Lenze. Bude se do cívek něco indukovat? budou naopak cívky při odběru proudu brzdit magnety?

A) Pokud budou rovnocenné cívky zapojeny nezávisle, každá na jinou zátěž, tak budou definitivně a měřitelně indukovat čeho je jen ten generátor schopen s dannými parametry.

Ba) Pokud budou rovnocenné cívky zapojené paralelně na jednu zátěž, stejná polarita na stejné polaritě, budou opět měřitelně indukovat v plné kapacitě generátoru.

Bb) Pokud budou rovnocenné cívky zapojené paralelně na jednu zátěž, jedna polarita na opačné polaritě, budou se vzájemně rušit pro účely měření za spojem, budou měřitelné před spojem na každé větvi, ale odpor to pochytá a bude se hřát.

Ca) Pokud budou rovnocenné cívky zapojené správně do série, mínus k plusu, budou opět měřitelně indukovat v plné kapacitě generátoru.

Cb) Pokud budou rovnocenné cívky zapojené do série proti sobě, mínus k mínusu, budou opět indukovat v plné kapacitě generátoru, ale měřit to nepůjde nikde, zatímco odpor to pochytá a bude se hřát.

Jelikož jde o stříďák, mluvím o momentální polaritě.

Ahoj, Píďalka.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Píďalka   
25.12.2017 19:29
Bydliště: ČR
1379 446 1788 
  Píďalka napsal(a):    A) Pokud budou rovnocenné cívky zapojeny nezávisle, každá na jinou zátěž,

Aha, ještě brždění magnetů. Ty budou do nějaké míry bržděny i bez cívek díky tkzv. vířivým proudům. Co se s nimi stane v případě protékání jádra směrovými proudy nevím. Buďto zůstanou, nebo zmizí. Nicméně neočekávám, že reaktivní pole indukovaného proudu brždění významně navýší. Jádro totiž povede obě polarity magnetického pole proti sobě.

Píďalka.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Adam   
25.12.2017 20:14
Bydliště: Praha
5793 563 5842 
Ano, pokud to budeme pitvat komplexněji mimo rámec samotného indukování v cívkách a v rámci Lenzova zákona v cívkách, pak bychom v praxi zaručeně zarazili na vířivé proudy se silným brzdným účinkem.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

lajos   
25.12.2017 20:54
Bydliště: Liberec
311 11 248 
Výřivé proudy se dají zmenšit s vysunutými póly cívek, tak že mimo ně by byli magneti ve větší vzdálenosti od masy statoru.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Adam   
25.12.2017 21:11
Bydliště: Praha
5793 563 5842 
  lajos napsal(a):    Výřivé proudy se dají zmenšit s vysunutými póly cívek, tak že mimo ně by byli magneti ve větší vzdálenosti od masy statoru.

Myslím, že to řešíme čistě jen po teoretické stránce indukování a Lenzova pravidla - ne ve snaze to doladit do nějaké smysluplné a efektivní konstrukce. Také proto nemusíme vířivé proudy vůbec řešit. Jinak by se prstencové jádro muselo dát pryč a pro indukování od magnetů by se nechaly nastražené pouze cívky s nějakým krátkým jádrem, třeba do tvaru U a zřejmě by se to celkově muselo předělat, aby to mělo nějakou rozumnou účinnost.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Jarin's   
27.12.2017 21:32
Bydliště: Zádveřice-Raková
947 141 903 
Ke svému příspěvku zde jsem připravil ještě něco do simulace:
stator Ø150mm
tvar obdélník 50x15mm šedá barva

rotor Ø120mm
tvar obdélník 50x40mm šedá barva
na koncích 2 magnety N52 50x40x20mm

mezera 2mm
stator rozdělen na 4 kvadranty - 4 civky odstíny oranžové
prostor pro vinutí 2mm x 99mm(střední délka mezery
vejde se 200z Cu Ø0.8mm = průřez 0.5mm2
při hustotě 6A/mm2 by z toho mohly teoreticky téct až 3A střední hodnoty

Průběhy indukce v Tesla jsou na všech 4 cívkách v grafu, počítáno uprostřed jádry každé cívky.
Hodnoty jsou ± 1.7T.
0T je když je rotor přesně uprostřed cívky.

Indukce je při cívky naprázdno,
následně nechám spočítat velikost napětí naprázdno a následně připočítat a zobrazit průběh indukce při BEMF při max. 3A stř. hodnoty proudu, ale to asi až po Silvestru. Do konce roku se k tomu už nedostanu.
 
Přílohy jsou dostupné pouze pro přihlášené uživatele     |     Přihlásit     |     Registrovat 
 


(Dostupné jen pro přihlášené uživatele)
 



(Dostupné jen pro přihlášené uživatele)
 



(Dostupné jen pro přihlášené uživatele) 
 
Obrázky není povoleno jakkoli šířit bez souhlasu jejich autora, a to ani v jakékoli upravené formě
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Jarin's   
27.12.2017 21:40
Bydliště: Zádveřice-Raková
947 141 903 
Oprava barvy průběhů odpovídají nyní barvě cívek

příspěvek 19245 se může smazat, byl použit na výměnu obrázku za aktualizovaný.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Jarin's   
28.12.2017 22:30
Bydliště: Zádveřice-Raková
947 141 903 
Díval jsem se v jiném vlákně o indukci na průběhy napětí versus indukce , co tam dával Adam. Nějak jsem těm průběhům neporozuměl, mohl bys(Adam) podle toho grafu načrtnout teoretický průběh napětí na jedné cívce?
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Adam   
28.12.2017 22:39
Bydliště: Praha
5793 563 5842 
  Jarin's napsal(a):    Díval jsem se v jiném vlákně o indukci na průběhy napětí versus indukce , co tam dával Adam. Nějak jsem těm průběhům neporozuměl, mohl bys(Adam) podle toho grafu načrtnout teoretický průběh napětí na jedné cívce?

Načrtnout můžu, už jsem si dneska ráno průběh napětí vykresloval jen tak v hlavě.
Ale pokud bys měl k dispozici přímo hodnoty Tvého grafu, třeba jen jednu křivku, indukované napětí by se mi vykreslovalo snáze.

Jinak kdybys přehlédl, doporučuji ke shlédnutí tento příspěvek + graf:
http://www.omforum.cz/p.php?idx=19076
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Adam   
28.12.2017 23:32
Bydliště: Praha
5793 563 5842 
Tak už nic, hodnoty grafu nech, nedalo mi to a prostě jsem to načrtl. Dalo se to totiž nakreslit docela jednoduše - v tomto případě žádné obtížné křivky.

Je to skutečně jen náčrt, takže třeba amplitudu program zobrazí jinak - podle vlastního měřítka a nebo různá zaoblení mohou v reálu vypadat malinko jinak. Ale bude to zhruba takovýto průběh napětí:
 
Přílohy jsou dostupné pouze pro přihlášené uživatele     |     Přihlásit     |     Registrovat 
 


(Dostupné jen pro přihlášené uživatele) 
 
Obrázky není povoleno jakkoli šířit bez souhlasu jejich autora, a to ani v jakékoli upravené formě
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Jarin's   
29.12.2017 16:47
Bydliště: Zádveřice-Raková
947 141 903 
Jen dotaz, nebude průběh více do sinusu? Ale asi ne, protože průběh v tom toroidu je opravdu úplně jiný, než je obvyklé. Jen netuším, jestli náhodou při změně indukce z plusu do mínusu, tady, když dochází k přemagnetování, tak by to napětí mělo jít na druhou stranu?

Už jsem objednal jádro Ø 160/140mm hluboké 50mm. Magnety snad doma nějaké najdu a zkusím to v lednu poskládat, mělo by to být mechanicky jednoduché, tohle mě fakt zajímá. Data ti přikládám v .csv
 
Přílohy jsou dostupné pouze pro přihlášené uživatele     |     Přihlásit     |     Registrovat 
 


(Dostupné jen pro přihlášené uživatele)
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Adam   
29.12.2017 17:15
Bydliště: Praha
5793 563 5842 
  Jarin's napsal(a):    Jen dotaz, nebude průběh více do sinusu? ... Jen netuším, jestli náhodou při změně indukce z plusu do mínusu, tady, když dochází k přemagnetování, tak by to napětí mělo jít na druhou stranu? ...

Jarine, bude to přesně tak, jak jsem Ti načrtl. Pokud tedy omluvíš úplně drobné rozdíly v několika zaobleních, nebo náběžné hrany indukovaných "pulzů", které nebudou čistě přímočaré.

Sinus by to právě moc být neměl, není k němu v tomto případě žádný důvod. Může se tam někde malinko připlést jen na velmi krátkých úsecích, třeba když začíná a když končí "přemagnetování", ale možná ani tam ne a asi celkově by tam být neměl vůbec.

Cívka v tomto Tvém modelu podléhá přemagnetování v danou chvíli jen jedním směrem. Buďto v ní magnetický tok roste (ze záporných hodnot do kladných), nebo klesá (z kladných hodnot do záporných). To vždy vyvolá jen záporný, nebo jen kladný pulz napětí.

A protože je přemagnetování v celém prostoru cívky "postupné" a hodnota magnetické indukce se přelévá z jedné polarity do druhé lineárně (po šikmé přímce), horní hrana indukovaného pulzu by měla být skutečně přímková a vodorovná.

Jinak ale předpokládám, že v praxi se má načtnutá křivka bude možná mírně deformovat vlivem vířivých proudů.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Jarin's   
29.12.2017 17:16
Bydliště: Zádveřice-Raková
947 141 903 
Já jsem si to představoval asi nějak takto.
Sám jsem zvědavý, co tam nakonec bude?
 
Přílohy jsou dostupné pouze pro přihlášené uživatele     |     Přihlásit     |     Registrovat 
 



(Dostupné jen pro přihlášené uživatele) 
 
Obrázky není povoleno jakkoli šířit bez souhlasu jejich autora, a to ani v jakékoli upravené formě
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Adam   
29.12.2017 17:18
Bydliště: Praha
5793 563 5842 
  Jarin's napsal(a):    Já jsem si to představoval asi nějak takto. Sám jsem zvědavý, co tam nakonec bude?

Projdi si mé příspěvky obsahující grafy (a hned na začátku jeden fyzikání vztah) ve vlákně Elektromagnetická indukce.

https://www.omforum.cz/forum.php?t=125
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Jarin's   
29.12.2017 17:19
Bydliště: Zádveřice-Raková
947 141 903 
  Adam napsal(a):    To vždy vyvolá jen záporný, nebo jen kladný pulz napětí.

Není tedy polarita indukovaného napětí závislá na směru toku?
Když jde tok od maxima do nuly -> je to jeden směr a od 0 do minima je to směr opačný?
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Str.: 1, 2, 3, ... 8  

Psát příspěvky můžete po přihlášení

 
Omforum.cz   |   Nápověda   |   Pravidla fóra   |   Podpořte chod fóra   |   Vytvořil: 2015-2024 Adam Benda
 
 
CC BY-NC-ND 3.0 CZ
Licence Creative Commons
Toto dílo podléhá licenci Creative Commons Uveďte původ-Neužívejte komerčně-Nezpracovávejte 3.0 Česká republika License