Hlavní přehled   |   Info a nápověda Přihlásit   |   Registrovat
 
 

Pokud vás tato problematika zajímá,
je velmi vhodné pročíst si pečlivě od první stránky celé vlákno.
Vše klíčové je v něm průběžně zmíněno nebo naznačeno

 

Generátor Figuera - Základní zmínky od Energy1     (str. 37 z 38)
Sekce: Zdroje energie - Převratné i běžné
   |   Rolovat dolů
Generátor volné energie podle práce Clementea Figuery (patenty z let 1900-1915). Vlákno základních informací  Str.: 1, ... 35, 36, 37, 38  

Vlákno je uzamčené.
V této sekci možná naleznete
druhé vlákno určené pro diskuzi

Poslední příspěvek z předchozí strany:
Píďalka   Stejnosměrným proudem ano, jenže rotace rotoru generátoru, který má magnetickou polaritu mezi...

Energy1   
11.04.2023 14:36

1055 79 1246 
  Píďalka napsal(a):    No a Figuera namísto buzení sekundárních vinutí rotačně, jak jest zvykem u běžných generátorů, budí své primární cívky přímo střídavým proudem

Chceš tedy říct, že protilehlé vzpěrné mag. pole N><N napájené oscilovaným ss proudem nevytváří v sekundáru střídavý proud? Tak to máš hochu velké mezery a pokud neznáš tyto základy, tak Figueru nikdy nerozjedeš.

V tvém SN/ NS toku bude Lenz zcela propojovat toky a vlézat do elektromagnetů, čímž nepřesáhneš index 1. A napájet elektromagnety střídavým proudem je totální šílenost, to nemůžeš myslet vážně. Doufám, že tady na fórum jsou rozumní lidi a nebude o tom nikdo ani přemýšlet.

Střídavý proud 1) nevytváří efektivně mag. pole, protože měkké železo má hysterezi a trvá dlouho, než se překlopí mag. domény, 2) obrácením polarity zaniká kompresní tok mezi elektromagnety 3) vzniká back emf, které je proti původnímu toku, čímž se energie v primárním obvodu vyruší a znemožní se rekuperace

Myslím, že těch důvodů a vysvětlení proč nepoužít střídavý proud je dost. Můžu komukoli zaručit na 100%, že se střídavým proudem nikdy nepřesáhne index 1. Stejně tak, pokud místo vzpěrných polí použije sever>jih, dopadne stejně. Patenty nejsou stavební návody a nelze je překládat doslovně!
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

Píďalka   
11.04.2023 19:27
Bydliště: ČR
1379 446 1788 
  Energy1 napsal(a):    Chceš tedy říct, ....

E) Chceš tedy říct, že protilehlé vzpěrné mag. pole N><N napájené oscilovaným ss proudem nevytváří v sekundáru střídavý proud?

S) Nikoliv, něco z toho poleze. Otázka je kolik a za jakých okolností. Co vám tady říkám je to, že to není Figuera.

E) V tvém SN/ NS toku bude Lenz zcela propojovat toky a vlézat do elektromagnetů, čímž nepřesáhneš index 1. A napájet elektromagnety střídavým proudem je totální šílenost, to nemůžeš myslet vážně.

S) Pro přesnost SN-SN následované NS-NS a dokola.

E) Doufám, že tady na fórum jsou rozumní lidi a nebude o tom nikdo ani přemýšlet.

S) Takže ty nás tady chceš poučovat, o čem máme a nemáme přemýšlet? Tady nejsi profesor na střední, či vysoké škole, kde by sis to mohl vynutit kulema. Tady to tak nefunguje. Jseš na diskuzním fóru.

E) Střídavý proud 1) nevytváří efektivně mag. pole, protože měkké železo má hysterezi a trvá dlouho, než se překlopí mag. domény, 2) obrácením polarity zaniká kompresní tok mezi elektromagnety 3) vzniká back emf, které je proti původnímu toku, čímž se energie v primárním obvodu vyruší a znemožní se rekuperace

S) To povídej všem třífázovým motorům, buzeným střídavinou, nemluvě i o jednofázových. Konec konců i stejnosměrné motory si tu budící střídavinu vyrábějí komutátorem. Transformátory na tom jsou nejinak a stejně tak reaktory. Chrlíš tady sice hromadu odborných termínů, nicméně praxe zcela jasně poukazuje na to, že i běžná střídavina je pro buzení magnetů velice použitelná. Otázkou je, jak efektivně.

E) Myslím, že těch důvodů a vysvětlení proč nepoužít střídavý proud je dost. Můžu komukoli zaručit na 100%, že se střídavým proudem nikdy nepřesáhne index 1.

S) Na tvé záruky bych se jen nerad spoléhal. Daleko spíš se spolehnu na to, že v době a místě uvedeného patentu (Madrid 1902) bylo nutné patenťáku předvést funkční zařízení, aby byl patent přiznán. Toto oficiální ověření předvedení Figuerova generátoru je dokonce na netu k dispozici. Dále bych se spoléhal na to, že nějakému bankovnímu kartelu stál ten patent za vysolení 30 mil pesos. Až dojdeš na to, že zpětné EMF má za mnoha podmínek vyšší hodnotu než budící EMF, což konec konců dokládá už to, že budící napájení generátorů se pohybuje kolem 1% výkonu, možná se na věc začneš dívat jinak.

E) Patenty nejsou stavební návody a nelze je překládat doslovně!

S) Nevím, co jsi kde kdy zkoušel patentovat, ale pokud má patentová přihláška alespoň v Kanadě a USA projít ověřováním a stát se z ní patent, musí být sestavena tak, aby kdokoliv se zkušenostmi v oboru dokázal předmět patentu replikovat. To je jejich vyslovený požadavek. Na samotnou přihlášku můžeš namalovat třeba kytky, když na to přijde, a tvrdit, že je to motorka nové konstrukce. Pokud bude přihláška na určeném papíře v určeném formátu, dnes popřípadě elektronicky správně podle jejich regulí, bude po zaplacení poplatku přijata a zaevidována. Samotné přihlášky patentů na patenťáku nikdo nestuduje, dokud majitel přihlášky nepožádá po určené době (Kanada 2 roky) o patentování a opět si to nezaplatí. Pak už se odborníci na patenťáku začnou patentem zabývat, o co vlastně jde. Takže, pokud byl patent Figuerovi udělen, jako že byl, evidentně se jím někdo ze špaňalského patenťáku zabýval a dokonce zařízení nechal ověřit a ověřovací dokument, jak jsem se již zmínil, je k dispozici.

Píďalka
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

Nadsenec    Schval. r.
11.04.2023 20:52
Bydliště: Mars
82 26 
Pidalko a kde si bol doteraz ? Mi tu diskutujeme a ty sa tu objavis vo chvili ked Energy odchadza co si tym chcel docielit? Krmit figueru striedavinou je hovadina to len s toho dovodu ze elektromagnet bude totalne neucinny s dovodu impedancie, ktora vznikne a bude sa musiet pretlacat vyssim napetim co sposoby ze stupne velmi prikon. A za druhe uz to nebude moct fungovat ako generator, ale bude to fungovat ako trafo, na sekundar sa pretransformuje napetie, ktore bude vo faze s napetim elektromagnetu. U figueri napetie vytvara jednosmerny prud na primarnych cievkach a aby sa generoval vykon pri dvoch protilahlich magnetoch musi to byt 100% zladene primar 1 a primar 2 musia ist v sulade inak budu ist proti indukcii.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

Energy1   
11.04.2023 21:52

1055 79 1246 
  Píďalka napsal(a):    S) To povídej všem třífázovým motorům, buzeným střídavinou, nemluvě i o jednofázových. I běžná střídavina je pro buzení magnetů velice použitelná. Otázkou je, jak efektivně. S) Na tvé záruky bych se jen nerad...

No ty ses teda vybarvil. Pleteš tady hrušky s jablkama a vypadáš, jako by ses právě probudil. Ještě že na tebe nejsem sám a začínají se ozývat členové fóra, protože jsi mimo. Myslel jsem si, že jsi docela kalibr, ale teď jsi klesnul na samé dno. Pokud bych neměl pravdu, nepřel bych se, ale vím, že ji mám a vím jak detailně funguje generátor Figuery narozdíl od tebe.

Motory, trafa a rotační generátory jsem netahej, to jsou pouze transformační zařízení a vytváří dostatečně silné mag. pole jen díky uzavřenému mag. obvodu. Jestli sis nevšiml, Figuera pracuje s otevřeným mag. obvodem. Můžu tě ujistit, že střídavý elektromagnet je velmi neefektivní a ve Figuerově koncepci nepoužitelný!

Nevím jakou máš zkušenost s patenty, ale patent stroje volné energie nespadá na jednu hromadu jak si myslíš. A vůbec, nechápu proč oponuješ a preferuješ svoje teorie, uvědom si, že jsem tu dobrovolně a dobrovolně jsem tu předal velmi cenné informace, nemám potřebu někoho poučovat. Problém v pochopení zřejmě nastal proto, že jsem tu nezmínil všechny klíčové části generátoru, což považuju za know-how. Technologie má potenciál obrovských až nedozírných následků, a tak se není čemu divit
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

Energy1   
11.04.2023 22:03

1055 79 1246 
  Nadsenec napsal(a):    A za druhe uz to nebude moct fungovat ako generator, ale bude to fungovat ako trafo, na sekundar sa pretransformuje napetie, ktore bude vo faze s napetim elektromagnetu.

Přesně tak, a doufám že si tohle ponaučení vezme Píďalka k srdci a nebude tu mlet nesmysly. Jestli chce stavět transformátor, tak ať si staví, ale hlavně ať nešíří své mylné teorie.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

Píďalka   
11.04.2023 23:00
Bydliště: ČR
1379 446 1788 
  Energy1 napsal(a):    Přesně tak, a doufám že si tohle ponaučení vezme Píďalka k srdci a nebude tu mlet nesmysly. Jestli chce stavět transformátor, tak ať si staví, ale hlavně ať nešíří své mylné teorie.

Trochu legrační připomínka. Pár jsem se jich nastavěl standartně i po svém a vím své. Ale to je jedno. Své už jsem sem předal a nestojím o další nepoužitelné konfrontace.

Užijte si to , Píďalka.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

Karel 124   
12.04.2023 08:00
Bydliště: Praha a okolí
558 41 738 
Někdo má větsí schopnost pochopit, někdo má větší schopnost postavit, pochopí to dodatečně, nebo postaví podle vzoru. Většina lidí tyto schopnosti nemá. Ale to nevylučuje, že může vynikat v úplně jiných oblastech.V žádném případě ale není dobré své nepochopenì šìřit, ale i to je každého věc . Když někdo neví, že Clemův motor souvisí s odstředivou turbínou, .....tak neví ani princip fungování Figuery.
Proč ? Protože je to několika násobně složitější.
Ahoj
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

Jirka1or    Schval. r.
12.04.2023 16:39
Bydliště: V dílně
92 30 
Kontrolní otázka na Energy1 :

Je nutná vzduchová mezera mezi těmi třemi jádry, byť malinká,
nebo jádro může být v kuse na tři civky ?
Zatím sbírám informace, můžu to zkusit tak či onak, jen chci omezit nadbytečné pokusy .
Dík .
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

Pafixa   
12.04.2023 17:02
Bydliště: Masada
2313 134 2182 
  Jirka1or napsal(a):    Kontrolní otázka na Energy1 : Je nutná vzduchová mezera mezi těmi třemi jádry, byť malinká, nebo jádro může být v kuse na tři civky ? Zatím sbírám informace, můžu to zkusit tak či onak, jen chci omezit...

No, já to tady sleduji jen letmo, ale myslím, že neškodí to celé nejdříve přečíst. Po té se vyeliminuje spousta otázek. Myslím, že tato je jedna z nich.....
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

Energy1   
12.04.2023 18:11

1055 79 1246 
  Jirka1or napsal(a):    Kontrolní otázka na Energy1 : Je nutná vzduchová mezera mezi těmi třemi jádry, byť malinká, nebo jádro může být v kuse na tři civky ?

Myslím, že kromě svého know-how části generátoru a detailního zapojení, zde padli všechny otázky a odpovědi, včetně mezer mezi jádry. Když pominu poslední příspěvky od Píďalky a jeho výkřiky do tmy, tak je zde spousta klíčových informací ke stavbě.

Ještě jednou shrnu o co jde a co se musí splnit. Princip: Dva protilehlé elektromagnety stejného pólu proti sobě s vloženým sekundárem v poměru jader 2:1. Okruhem elektromagnetů osciluje SS PROUD (ne střídavý) nad nulou v oblasti 50-100%. SS proudem je pohybováno tam a zpět přes regulátor proudu, přičemž proud nesmí být během provozu přerušen, aby nevznikalo back emf, které zabije veškerý uložený potenciál v primárním okruhu. Uzavřený indukční obvod s oscilací proudu nad nulou umožní zpětnou rekuperaci kdy slábnoucí sada elektromagnetů vrací potenciál zpět do zdroje a tento potenciál se přesouvá do sady která sílí. Budící zdroj slouží jako mezistupeň k budícímu půlcyklu regulátoru proudu. Takto koncipovaný primární okruh se napájí příkonem, pokrývající pouze ztráty I2R, protože elektromagnety si vyměňují potenciál a žádné další ztráty než v odporu nejsou. Z tohoto důvodu musí být generátor provedený tak, aby jeho odpor vinutí byl blízký nule a když říkám blízký tak skutečně blízký. Tím nemyslím odpor 100ohmů ale odpor do 1ohm! Když sada elektromagnetů sílí tak proud teče ze zdroje, když sada slábne proud teče do zdroje, proud mění směr, ale nemění polaritu! Aby Figuera nebyl transformátor, ale generátor, nesmí být proud přepólován, tzn. že musí být napájen ss proudem a tento proud se nesmí přerušit. Také nesmí klesnout proud pod 50%, jinak se ztratí kompresní tlak mezi elektromagnety a sekundární výkon.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

Nadsenec    Schval. r.
12.04.2023 18:22
Bydliště: Mars
82 26 
Ako myslis ten pomer jadier 2:1 ako 2 primari a jeden sekundar, alebo ze primar ma byt dvakrat dlhsi ako sekundar?
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

Energy1   
12.04.2023 19:16

1055 79 1246 
Velikostní poměr jader 2:1, aby se udržel klíčový kompresní tok i během snižování proudu. Jak jsem řekl, intenzita mag. toku mezi elektromagnety zůstává během provozu neměnná, je stále na stejné a pořád vysoké úrovni, touto intenzitou je pouze pohybováno, čímž dochází k "řezání" sekundárních závitů a sekundár má zdání o skutečném pohybu. Jeden půlcykl s pravotočivým mag. polem promítne v sekundáru polarizaci NS a druhý půlcykl s levotočivým polem SN. Jak můžou dva elektromagnety stejné polarity indukovat střídavý proud? Figuera byl génius a přišel na to, že pokud se dají dva stejné elektromagnety zrcadlově tváří v tvář tak každé produkované mag. pole má stejnou polaritu ale opačný směr rotace. Pak stačí zvýšení a pokles proudu v naprosté shodě a v sekundáru se objeví indukce zbavená Lenz efektu, geniální.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

lajos   
13.04.2023 00:19
Bydliště: Liberec
311 11 248 
Hm, plést do primáru střídavé buzeni mi příjde jako nesmysl, jen proto že je daný princip přechodu snižování a zvyšonání pole na straně primáru bez zániku mag. pole, příjde mi to docela logické. Samozřejmě můžete do toho dělat kombinace principu. Proč to komplikovat pokud jsme nevyzkoušeli základ jak to má fungovat. Až budete mít základní sestavu cívek, tak si do toho pouštějte co chcete a pak se uvidí, neb z toho neco vyleze nebo ne, sestava bude stejná a my se tady o něčem budeme dohadovat? není proč! Víte kulový a už se hádáte o něco co zatím nemáte??!!
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

lajos   
13.04.2023 00:26
Bydliště: Liberec
311 11 248 
Se zas vám nedivím, neb představit tok energie do zdroje které se vrátí (bez I2R ) je náročné na představu a doladění na COP větší než 1. Taky neděláme stabilizovaný zdroj 12V s IO 7812/1A.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

Jirka1or    Schval. r.
13.04.2023 09:11
Bydliště: V dílně
92 30 
Energy1: Figuera byl génius a přišel na to, že pokud se dají dva stejné elektromagnety zrcadlově tváří v tvář tak každé produkované mag. pole má stejnou polaritu ale opačný směr rotace. Pak stačí zvýšení a pokles proudu v naprosté shodě a v sekundáru se objeví indukce zbavená Lenz efektu, geniální.
--------------------------------------------------
Musel jsem dělat rozbor tvých komentářů na dotazy, opakovaně to číst a pochopit to správně.
Špatné pochopení je jako o voze a koze .
Nyní jsem v obraze, ale nevylučuji možné, jiné nejasnosti, proto stále pátrám a pročítám
zajímavosti k tématu mezi řádky .
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

Energy1   
13.04.2023 11:36

1055 79 1246 
Měkké železo má schopnost přijímat i uvolňovat potenciál, toho se využívá v primárním obvodu s oscilovaným proudem. Oscilovaný proud v primárním obvodu vytváří indukční reaktanci, což se podobá střídavině s tím rozdílem, že proud nemění polaritu, nevzniká cemf, ale uplatňuje se impedance obvodu. Uzavřený indukční obvod primárních elektromagnetů podrobený indukční reaktanci způsobí kolování energie, kdy vstupní příkon klesne na ztráty I2R. Potenciál se neustále pohybuje tam a zpět, téměř bezeztrát. Pokud má primární oscilující obvod odpor blízký nule a kolující proud není propálen ve formě tepla, pak jsou ztráty minimální, přičemž v sekundáru probíhá výkonná indukce střídavého proudu. U správně nastaveného generátoru sekundární Lenz neodsává primární potenciál, Lenz působí společně s lorentzovými silami proti tlačným/sílícím elektromagnetům, ale NEPROPOJUJE toky, čímž si primární obvod zachovává indukční potenciál k nepřetržitému generování proudu
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

Macintosh    Schval. r.
13.04.2023 11:47
Bydliště: SK
205 108 
  lajos napsal(a):    Se zas vám nedivím, neb představit tok energie do zdroje které se vrátí (bez I2R ) je náročné na představu a doladění na COP větší než 1.

Presne tak, navyše aj predstaviť si takúto anomáliu nemá moc zmysel, pretože je to v reále neuskutočniteľné...
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

lajos   
13.04.2023 15:52
Bydliště: Liberec
311 11 248 
A já si budu hrát, už si dělám přípravu na přípravu, elektroniku budu kupovat příští víkend v praze, stejně tam jedu.
 
Přílohy jsou dostupné pouze pro přihlášené uživatele     |     Přihlásit     |     Registrovat 
 


(Dostupné jen pro přihlášené uživatele) 
 
Obrázky není povoleno jakkoli šířit bez souhlasu jejich autora, a to ani v jakékoli upravené formě
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

lajos   
13.04.2023 15:56
Bydliště: Liberec
311 11 248 
Ty jádra ještě musím začistit, bohužel u kolegů leželo v regále jen hlazenka, která je dost tvrdá a s uhlíkem, hlavně zatím měl správný průměr 5mm, vyměnit můžu vždycky.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

Macintosh    Schval. r.
13.04.2023 16:12
Bydliště: SK
205 108 
  lajos napsal(a):    A já si budu hrát, už si dělám přípravu na přípravu...

...hlavne bacha na bezpečnosť práce, aby si neutrpel energetický šok, keď Figuera začne chrlit elektrinu zdarma...
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečteno

  Str.: 1, ... 35, 36, 37, 38  

Vlákno je uzamčené.
V této sekci možná naleznete
druhé vlákno určené pro diskuzi


Pokud vás tato problematika zajímá,
je velmi vhodné pročíst si pečlivě od první stránky celé vlákno.
Vše klíčové je v něm průběžně zmíněno nebo naznačeno

 

 
Omforum.cz   |   Nápověda   |   Pravidla fóra   |   Podpořte chod fóra   |   Vytvořil: 2015-2024 Adam Benda
 
 
CC BY-NC-ND 3.0 CZ
Licence Creative Commons
Toto dílo podléhá licenci Creative Commons Uveďte původ-Neužívejte komerčně-Nezpracovávejte 3.0 Česká republika License