Hlavní přehled   |   Info a nápověda Přihlásit   |   Registrovat
 
 

Pokud vás tato problematika zajímá, je velmi vhodné
pročíst si pečlivě celé základní vlákno:

https://www.omforum.cz/forum.php?t=731

 
 
Generátor Figuera - Koncepční detaily, odborná diskuze     (str. 41 z 43)
Sekce: Zdroje energie - Převratné i běžné
   |   Rolovat dolů
Generátor volné energie inspirovaný C. Figuerou; pouze odborná diskuze nad různými koncepčními detaily  Str.: 1, ... 39, 40, 41, 42, 43  

Psát příspěvky můžete po přihlášení

Poslední příspěvek z předchozí strany:
Energy1  Už z principu, magnetizační křivka dipólu není lineární, ale logaritmická. Takže síla mag. pole s...

Kafalovac   
17.03.2024 11:28

170 54 
Dostala se mi do rukou z knihovny šikovná literatura. Samozřejmě je zapotřebí nějakých základních znalostí, a potřeba si vybrat co je vhoné pro řešení figuera.
 
Přílohy jsou dostupné pouze pro přihlášené uživatele     |     Přihlásit     |     Registrovat 
 


(Dostupné jen pro přihlášené uživatele)
 


(Dostupné jen pro přihlášené uživatele) 
 
Obrázky není povoleno jakkoli šířit bez souhlasu jejich autora, a to ani v jakékoli upravené formě
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Jim68   
17.03.2024 11:39
Bydliště: Praha
140 10 95 
  Kafalovac napsal(a):    Dostala se mi do rukou z knihovny šikovná literatura. Samozřejmě je zapotřebí nějakých základních znalostí, a potřeba si vybrat co je vhoné pro řešení figuera.

Moc děkuji, právě jsem přemýšlel, co mi to tu jádra dělají.) 👍
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Barbucha   
17.03.2024 20:18
Bydliště: Pod mostem v Praze
277 17 255 
  Kafalovac napsal(a):    Dostala se mi do rukou z knihovny šikovná literatura.....

Dobrý počin. Na první pohled vypadá docela srozumitelně. Jak že se ta knížka jmenuje? Nemáš ji náhodou celou v PDFku?
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Kafalovac   
18.03.2024 23:27

170 54 
  Barbucha napsal(a):    Dobrý počin. Na první pohled vypadá docela srozumitelně. Jak že se ta knížka jmenuje? Nemáš ji náhodou celou v PDFku?

Kniha se jmenuje: Magnetické jevy a obvody ve výkonové elektronice, měřicí technice a silnoproudé elektrotechnice

https://www.vut.cz/vutium/katalog?action=detail&id=14212&str=1

Je zde opravdu hodně zajímavých informací a neměl jsem čas ji ještě celou projít...
Jen se většinou věnuje střídavým průběhům, takže informace je potřeba brát trochu z "nadhledu" a vybrat si jen to co je vhodné...

Informace ohledně materiálú jsou z roku 2011 takže již mohou být i lepší materiály....
 
Přílohy jsou dostupné pouze pro přihlášené uživatele     |     Přihlásit     |     Registrovat 
 


(Dostupné jen pro přihlášené uživatele)
 


(Dostupné jen pro přihlášené uživatele)
 


(Dostupné jen pro přihlášené uživatele) 
 
Obrázky není povoleno jakkoli šířit bez souhlasu jejich autora, a to ani v jakékoli upravené formě
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Energy1   
02.04.2024 19:40

1055 79 1246 
Materiály jsou v dnešní době špičkové, ale bohužel za nekřesťanské peníze. Drtivá většina lidí pak skončí neúspěchem kvůli nedostatku financí a použití levných materiálů. Řekl bych to takto, čím lepší magnetický materiál se použije, tím vyšší šance je na úspěch. Levný ocelokřemík vyžaduje velmi dobrý návrh sycení, jinak se jádro z tohoto materiálu dostatečně nevybudí. Na druhou stranu ocelokřemík bohatě stačí v případě, pokud replikující ví co dělá. Je potřeba sice větší množství vinutí, ale s generovaným výkonem se dá dostat na slušné úrovně.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Energy1   
08.04.2024 09:44

1055 79 1246 
Figuera i Helcomb vyžadují aktivní zátěž, aby se generovalo emf. Samotné virtuální mag. pole nedokáže generovat napětí naprázdno. Emf je generováno až s přesunem pole lenzu přes sekundární část. Problém je tedy s regulací výstupního napětí. Helcomb tohle nijak nerozebírá, pouze uvádí, že zátěž je velmi důležitá. Tak já to rozvedu. Jde o to, že nejvyšší generované emf je dosaženo s vhodnou zátěží, nesmí být nízká ani vysoká, ale přesně na míru daného generátoru. Figuera na výstupu generátoru použil motor a to ze dvou důvodů, tím prvním je setrvačnost systému při přepínání z externího budícího zdroje na samobuzení (zacyklování), tím druhým důvodem je fázové srovnání sekundarniho proudu k zavedení zpětné vazby a trvalé nabuzení generátoru. Momentálně řeším ten samý problém a došel jsem k závěru, že nejlepší možný způsob, jak stabilizovat výstupní napětí je použitím indukční rotační setrvačnosti konkrétně generátoru s permanentními magnety. Setrvačnost dokáže pokrýt proudové špice než elektronika dobudí generátor, střídač tohle nedokáže, při proudovém odběru nastane propad napětí, což je kritické u spotřebičů náchylné na napájecí napětí. Člověk by chtěl čistý bezpohyb, ale nelze to konstrukčně vyřešit, muselo by se to řešit střídačem a akumulátory, které překlenou časové prodlevy buzení, ale vnášet do systému volné energie podělané baterie nikdo nechce. Samozřejmě jsou zátěže, kde napěťový propad nevadí, např. odporové zátěže nebo indukční (motory), tady se žádná stabilizace řešit nemusí, ale jak jde o spotřebiče a napájení domácnosti, musí se tohle řešit. Domovní, nebo průmyslová jednotka se bude skládat z bezpohybového generátoru systému Figuery s rotačním generátorem PMG. Pro ještě lepší stabilizaci je dobré použít setrvačník nebo generátor předimenzovat, čímž se vytvoří dostatečná setrvačnost kotvy na proudový odběr.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Jirka1or    Schval. r.
08.04.2024 11:07
Bydliště: V dílně
92 30 
  Energy1 napsal(a):    Figuera i Helcomb vyžadují aktivní zátěž, aby se generovalo emf. Samotné virtuální mag. pole nedokáže generovat napětí naprázdno. Emf je generováno až s přesunem pole lenzu přes sekundární část. Problém je tedy...

Člověk by chtěl čistý bezpohyb, ale nelze to konstrukčně vyřešit, muselo by se to řešit střídačem a akumulátory, které překlenou časové prodlevy buzení, ale vnášet do systému volné energie podělané baterie nikdo nechce.
--------------------------------------------------

Reaguji na tento konkrétní argument .

Baterie lze nahradit k tomuto účelu Elektrolytickými Kondenzátory s vysokou kapacitou .

Podobně jako u transformátorových (klasických) síťových zdrojů, kde taky dochází k propadu napětí (zvlnění - dvoucestné, nebo jednocestné s mezerami) .

El. Kondenzátor slouží k jímání el. náboje a jeho následném uvolňování
(vyrovnávání poklesů napětí a proudu - tzv. vyhlazení proudu).
Stejně jako v síťových zdrojích s usměrňovačem .


..................................................
Prodávané elektrocentrály mají v alternátorech na výstupech statorů taky připojené svitkové kondenzátory, jako proudovou zátěž, důležitou k nabuzení výstupu (aktivaci).
Podobně tomu je i na rotoru s pasivním buzením .
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Energy1   
08.04.2024 12:51

1055 79 1246 
  Jirka1or napsal(a):    Člověk by chtěl čistý bezpohyb, ale nelze to konstrukčně vyřešit, muselo by se to řešit střídačem a akumulátory, které překlenou časové prodlevy buzení, ale vnášet do systému volné energie podělané baterie nikdo nechce....

Asi jsi mě nepochopil. Sekundární napětí Figuery se odvíjí od zátěže. Dám příklad. Chceš výstupní napětí třeba 230V, aby jsi měl tohle napětí, musíš odebrat 10A, pokud odebereš víc, nebo míň, bude napětí nižší. A jak chceš tuto analogii zachovat se střídačem ve všech provozních podmínkách? Rotační generátor tohle provede snadno, protože má setrvačnost a velmi dobře vykrývá proudové špice. Ano, čistý bezpohyb by šel udělat, ale podobně stylem FVE, tzn. dobíjené baterie a střídač. Všechno, celá zátěž by se musela valit přes střídač, potažmo z baterií a že potřebuješ přesné napětí pro samotný střídač o tom nemluvím. Nezatížený generátor prostě nedává napětí, což vnáší do systému jistý problém a složitost. Ale jak už jsem řekl, elegantně a velmi precizně tohle řeší na výstupu rotační generátor PMG.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

fana   
08.04.2024 18:09
Bydliště: uprostřed
158 107 
  Energy1 napsal(a):    ,,,,, Ano, čistý bezpohyb by šel udělat, ale podobně stylem FVE, tzn. dobíjené baterie a střídač. Všechno, celá zátěž by se musela valit přes střídač, potažmo z baterií ,,,,


 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

fana   
08.04.2024 18:19
Bydliště: uprostřed
158 107 
Předpoklad je jakkoli uspět. A kdo již optimalizuje? Kdo viděl co to dělá na výstupu? To si fakt netroufnu odhadnout.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Energy1   
08.04.2024 20:07

1055 79 1246 
  fana napsal(a):    Předpoklad je jakkoli uspět. A kdo již optimalizuje? Kdo viděl co to dělá na výstupu? To si fakt netroufnu odhadnout.

Jednoduché principy indukce jdou během krátké doby ověřit. Stačí dva elektromagnety, jeden sekundár, jádra v poměru 2:1 a dva fázové signály po 180st vytvářející dvě severní pole proti sobě. Pokud je budící průběh dvou fázových signálů sinusový, pak výstupem je čistý sinus o 90st posunutý vůči indukčním polím. Bez zátěže není žádné napětí naprázdno, měřit je možné až s minimální zátěží. Vždyť tento test nedá žádné úsilí a budete vědět, že je to tak jak říkám.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Energy1   
09.04.2024 08:57

1055 79 1246 
Testování není třeba provádět stylem "nahotovo" s regulátorem proudu. Regulátor je složitá a velmi precizní záležitost, přes kterou se téměř nikdo nepřenese. Budící průběhy jdou na test simulovat modulátorem, avšak nesmí se jednat o střídavé průběhy, ale oscilované průběhy nad nulou. Pokud průběhy nebudou typu DC, ale AC, pak se vytvoří pouze obyčejný transformátor a to není Figuera. Tímto způsobem lze ověřit i sníženou interakci lenzu při odběru proudu ze sekundární cívky. Tah na primár není 1:1, ale zhruba 1:3 až 1:4. Tohle si každý a velice rychlo může odzkoušet.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Adam   
09.04.2024 11:19
Bydliště: Praha
5813 563 5854 
  Energy1 napsal(a):    ... oscilované průběhy nad nulou. ... ... Tímto způsobem lze ověřit i sníženou interakci lenzu ... ... Tah na primár není 1:1, ale zhruba 1:3 až 1:4. ...

Říkám si, že takhle jednoduše to tu mohlo zaznít už před rokem..
V různých jiných podobách to zaznělo několikrát, ale nepamatuji si,
že by to proběhlo až takhle jednoduše a jasně.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Adam   
09.04.2024 12:11
Bydliště: Praha
5813 563 5854 
  Energy1 napsal(a):    ... oscilované průběhy nad nulou. ...

Ještě přikládám narychlo zbastlený graf pro názorné vysvětlení.

A k němu i odpovídající rovnici funkce, pokud by si to někdo potřeboval představit víc matematicky.
 
Přílohy jsou dostupné pouze pro přihlášené uživatele     |     Přihlásit     |     Registrovat 
 


(Dostupné jen pro přihlášené uživatele)
 


(Dostupné jen pro přihlášené uživatele) 
 
Obrázky není povoleno jakkoli šířit bez souhlasu jejich autora, a to ani v jakékoli upravené formě
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Energy1   
09.04.2024 12:19

1055 79 1246 
Zdá se, že snížená interakce lenzu by stačila ku přebytku, resp. k indexu nad 1, ale není tomu tak. Pouze buzené dipóly modulátorem nestačí k zisku, protože je třeba vytvářet čistý sinus bez přerušení proudu a také potřeba trvalého buzení/příkonu dipólů k vytváření mag. polí. Teprve s rekuperačním regulátorem je zisk. Helcomb sice nemá regulátor a přesto funguje, avšak na trochu odlišném principu, kdy místo DC je použit AC budící proud a ten způsobuje přirozenou oscilaci mezi zdrojem a mag. obvodem. DC proud tohle nedokáže, protože nedochází k zániku mag. pole a otočení polarity proudu. U DC proudu se musí potenciál přesunout skrze indukční stranu primárního systému a k tomu slouží právě indukční regulátor. Kromě přesunu potenciálu z jedné indukční strany do druhé vytváří dokonalou modulaci DC proudu po 180st. Je to prostředník výměny potenciálu/energie mezi jednou a druhou indukční sadou. Tím je Figuera proti Helcombu jiná liga, dipóly pracují trvale s DC proudem bez přepólování a tím pádem s nejvyšší účinností bez hystereze a vířivých proudů.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Energy1   
09.04.2024 21:29

1055 79 1246 
Dám příklad, jak to v reálném testu vypadá. Připraví se jedna indukční sada tj. dva totožné primáry a jeden sekundár, vybudí se správným průběhem tj. oscilovanými dc proudy nad nulou s fázovým posuvem 180st za vzniku dvou severních zrcadlových polí proti sobě. Zatíží -li se sekundár a odebere se výkon např. 30W, na vstup se přenese pouze cca 10W. Celkový příkon systému bude však asi 60W, přičemž 50W bude generátor odebírat naprázdno a se zátěží 30W pouze o 10W víc. Tohle si může každý snadno ověřit a je to úplný základ. V první, testovací fázi to tedy vypadá, že jde o klasické unity zařízení, protože účinnost systému je kolem 50%.

Pokud se však napojí na primární elektromagnety indukční regulátor, modulace sinusového proudu se provádí bez jakýchkoliv vstupních nákladů a schopnost rekuperace potenciálu způsobí, že příkon elektromagnetů klesne pouze na ztráty I2R, nedochází tak k trvalému napájení, ale pouze pokrývání ztrát. A tady najednou vyskočí index na 10, což je cca 20x více než se základním zkušebním testem. Celá složitost Figuery stojí na regulátoru, ostatní je rutina. To je důvod, proč generátor nelze provozovat bez indukčního regulátoru. Má mnoho funkcí, které nelze nijak nahradit.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Jirka1or    Schval. r.
10.04.2024 12:24
Bydliště: V dílně
92 30 
  Energy1 napsal(a):    Zdá se, že snížená interakce lenzu by stačila ku přebytku, resp. k indexu nad 1, ale není tomu tak. Pouze buzené dipóly modulátorem nestačí k zisku, protože je třeba vytvářet čistý sinus bez přerušení proudu a také...

Velmi dobře vysvětleno k pochopení !
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Energy1   
10.04.2024 13:05

1055 79 1246 
  Adam napsal(a):    Ještě přikládám narychlo zbastlený graf pro názorné vysvětlení. A k němu i odpovídající rovnici funkce, pokud by si to někdo potřeboval představit víc matematicky.

Ještě k těm budícím průběhům. Na základní test není nutné zavádět klidový DC offset, ten je záležitostí optimalizace jakmile se dosahuje nebo má dosahovat vysokých sekundárních výkonů. To, co dělá DC ofset je potlačení reaktance a nelineární počáteční magnetizační křivky dipólů, které obojí má neblahý vliv na účinnost tvorby mag. polí. DC offset společně s regulátorem je záležitostí kompletní stavby generátoru. Např. Helcomb žádný offset neřeší, protože dipóly jsou napájené střídavým proudem a mag. pole vzniká a zaniká neustále dokola. Figuera udržuje dipóly částečně ve vybuzeném stavu, což zvyšuje kompresi mag. siločar v sekundáru a tím úměrně vyšší generovaný výkon. Také rychlost dipólů resp. reakce na změnu příchozího proudu z regulátoru je vyšší, protože je potlačena indukční reaktance, což také zvyšuje výkon ze vztahu db/dt. Na test ale stačí oscilovaný dc proud nad nulou, stačí, když tento proud neprochází nulou.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Energy1   
10.04.2024 20:25

1055 79 1246 
Mimo to, co jsem napsal k offsetu má ještě jednu úlohu. Každý generátor musí udržovat určitý stupeň komprese mag. polí a to i tehdy, pokud je indukce na minimu. Konvenční generátor tohle provádí přirozeně, protože má uzavřený mag. obvod. To umožňuje remanenci, Figuera s otevřeným mag. obvodem remanenci postrádá, a tak musí být zaveden offset, jinak je výstupem pila a o dekádu snížený výkon. Aby se v sekundární části promítl sinusový průběh indukčních členů, je třeba zavést offset, aby nedošlo ke ztrátě komprese mag. siločar při snížení proudu elektromagnetů. Stačí se zamyslet nad tím, jak pracuje konvence a jak Figuera. Figuera s otevřeným mag. obvodem vytváří kompresi siločar na základě dokonalé spolupráce dvou protilehlých elektromagnetů, pokud jeden z elektromagnetů selže, selže i komprese a generování proudu. Figuera je tedy závislý na přesném, synchronním řízením v naprosté shodě, čímž se vytváří pomocí dvou statických polí db/dt jedno pohybové pole b*v*l
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

Jim68   
10.04.2024 22:06
Bydliště: Praha
140 10 95 
Četl jsi Fausta, energy? Protože tohle je opravdu ďábelské.
 
Zvláštní ocenění za úsilí nebo přínosPozitivní ohlasy Označit příspěvek Zarážka - Až sem mám přečtenoCitovat a odpovědět

  Str.: 1, ... 39, 40, 41, 42, 43  

Psát příspěvky můžete po přihlášení

Pokud vás tato problematika zajímá, je velmi vhodné
pročíst si pečlivě celé základní vlákno:

https://www.omforum.cz/forum.php?t=731

 
 
 
Omforum.cz   |   Nápověda   |   Pravidla fóra   |   Podpořte chod fóra   |   Vytvořil: 2015-2024 Adam Benda
 
 
CC BY-NC-ND 3.0 CZ
Licence Creative Commons
Toto dílo podléhá licenci Creative Commons Uveďte původ-Neužívejte komerčně-Nezpracovávejte 3.0 Česká republika License